------------------------------------------------------------ revno: 118068 committer: Glenn Morris branch nick: trunk timestamp: Mon 2014-10-06 22:14:28 -0700 message: admin/unidata/Makefile.in small cleanup * admin/unidata/Makefile.in (unidir): Rename from DSTDIR. Change all uses. (charprop.el, install): Remove rules. (clean): Simplify. diff: === modified file 'admin/ChangeLog' --- admin/ChangeLog 2014-10-04 19:07:05 +0000 +++ admin/ChangeLog 2014-10-07 05:14:28 +0000 @@ -1,3 +1,9 @@ +2014-10-07 Glenn Morris + + * unidata/Makefile.in (unidir): Rename from DSTDIR. Change all uses. + (charprop.el, install): Remove rules. + (clean): Simplify. + 2014-10-04 Glenn Morris * authors.el (authors-renamed-files-alist): Add package-x-test.el === modified file 'admin/unidata/Makefile.in' --- admin/unidata/Makefile.in 2014-06-25 06:03:13 +0000 +++ admin/unidata/Makefile.in 2014-10-07 05:14:28 +0000 @@ -28,12 +28,12 @@ top_builddir = @top_builddir@ EMACS = ${top_builddir}/src/emacs -DSTDIR = ${top_srcdir}/lisp/international +unidir = ${top_srcdir}/lisp/international emacs = "${EMACS}" -batch --no-site-file --no-site-lisp -.PHONY: all compile install +.PHONY: all -all: ${top_srcdir}/src/macuvs.h ${DSTDIR}/charprop.el +all: ${top_srcdir}/src/macuvs.h ${unidir}/charprop.el ## Specify .elc as an order-only prereq so as to not needlessly rebuild ## target just because the .elc is missing. @@ -50,30 +50,18 @@ unidata.txt: ${srcdir}/UnicodeData.txt sed -e 's/\([^;]*\);\(.*\)/(#x\1 "\2")/' -e 's/;/" "/g' < $< > $@ -${DSTDIR}/charprop.el: ${srcdir}/unidata-gen.el ${srcdir}/UnicodeData.txt | \ +${unidir}/charprop.el: ${srcdir}/unidata-gen.el ${srcdir}/UnicodeData.txt | \ ${srcdir}/unidata-gen.elc unidata.txt -if [ -f "$@" ]; then \ - cd ${DSTDIR} && chmod +w charprop.el `sed -n 's/^;; FILE: //p' < charprop.el`; \ + cd ${unidir} && chmod +w charprop.el `sed -n 's/^;; FILE: //p' < charprop.el`; \ fi ${emacs} -L ${srcdir} -l unidata-gen -f unidata-gen-files \ - ${srcdir} "${DSTDIR}" - -## Like the above, but generate in PWD rather than lisp/international. -charprop.el: ${srcdir}/unidata-gen.elc unidata.txt - ${emacs} -L ${srcdir} -l unidata-gen -f unidata-gen-files \ - ${srcdir} + ${srcdir} "${unidir}" .PHONY: clean bootstrap-clean distclean maintainer-clean extraclean -install: charprop.el - cp charprop.el ${DSTDIR} - cp `sed -n 's/^;; FILE: //p' < charprop.el` ${DSTDIR} - clean: - if test -f charprop.el; then \ - rm -f `sed -n 's/^;; FILE: //p' < charprop.el`; \ - fi - rm -f charprop.el ${srcdir}/*.elc unidata.txt + rm -f ${srcdir}/*.elc unidata.txt bootstrap-clean: clean @@ -87,7 +75,7 @@ ## Cf leim/ja-dic (which is much slower). extraclean: rm -f ${top_srcdir}/src/macuvs.h - if test -f ${DSTDIR}/charprop.el; then \ - (cd ${DSTDIR} && rm -f `sed -n 's/^;; FILE: //p' < charprop.el`); \ - rm -f ${DSTDIR}/charprop.el; \ + if test -f ${unidir}/charprop.el; then \ + (cd ${unidir} && rm -f `sed -n 's/^;; FILE: //p' < charprop.el`); \ + rm -f ${unidir}/charprop.el; \ fi ------------------------------------------------------------ revno: 118067 committer: Glenn Morris branch nick: trunk timestamp: Mon 2014-10-06 20:20:33 -0700 message: * lisp/font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup. diff: === modified file 'lisp/ChangeLog' --- lisp/ChangeLog 2014-10-07 03:19:10 +0000 +++ lisp/ChangeLog 2014-10-07 03:20:33 +0000 @@ -1,3 +1,7 @@ +2014-10-07 Glenn Morris + + * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup. + 2014-10-07 Wilson Snyder Sync with upstream verilog-mode revision c075a492. === modified file 'lisp/font-lock.el' --- lisp/font-lock.el 2014-09-22 13:52:06 +0000 +++ lisp/font-lock.el 2014-10-07 03:20:33 +0000 @@ -1047,7 +1047,7 @@ ;; Of course, this function doesn't do all of the above in all situations ;; (e.g. depending on whether jit-lock is in use) and it can't guess what ;; the caller wants. - (interactive-only "use font-lock-ensure or font-lock-flush instead.")) + (interactive-only "use `font-lock-ensure' or `font-lock-flush' instead.")) (interactive "p") (font-lock-set-defaults) (let ((font-lock-verbose (or font-lock-verbose interactively))) ------------------------------------------------------------ revno: 118066 author: Wilson Snyder committer: Glenn Morris branch nick: trunk timestamp: Mon 2014-10-06 20:19:10 -0700 message: Sync with upstream verilog-mode revision c075a492 * lisp/progmodes/verilog-mode.el (verilog-mode-version): Bump. (verilog-menu): Add AUTOINSERTLAST. (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if' is nil, fix indenting initial/final to match always statements, bug825. Reported by Tim Clapp. (verilog-extended-complete-re): Fix indentation of DPI-C imports, bug557. Reported by ZeDong Mao and Jason Forkey. (verilog-read-decls): Fix parsing typed interfaces. Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas. (verilog-auto-arg-ports): Fix verilog-auto-arg-format single. (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793. Reported by Pierre-David Pfister. (verilog-auto-insert-lisp): Doc fix. (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein. (verilog-sk-ovm-class, verilog-sk-uvm-object) (verilog-sk-uvm-component): Fix missing string keyword in class skeletons, bug824. Reported by eldad faruhi. diff: === modified file 'lisp/ChangeLog' --- lisp/ChangeLog 2014-10-06 17:47:56 +0000 +++ lisp/ChangeLog 2014-10-07 03:19:10 +0000 @@ -1,3 +1,25 @@ +2014-10-07 Wilson Snyder + + Sync with upstream verilog-mode revision c075a492. + * progmodes/verilog-mode.el (verilog-mode-version): Bump. + (verilog-menu): Add AUTOINSERTLAST. + (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if' + is nil, fix indenting initial/final to match always statements, bug825. + Reported by Tim Clapp. + (verilog-extended-complete-re): Fix indentation of DPI-C imports, + bug557. Reported by ZeDong Mao and Jason Forkey. + (verilog-read-decls): Fix parsing typed interfaces. + Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas. + (verilog-auto-arg-ports): Fix verilog-auto-arg-format single. + (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793. + Reported by Pierre-David Pfister. + (verilog-auto-insert-lisp): Doc fix. + (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to + allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein. + (verilog-sk-ovm-class, verilog-sk-uvm-object) + (verilog-sk-uvm-component): Fix missing string keyword in class + skeletons, bug824. Reported by eldad faruhi. + 2014-10-06 Stefan Monnier * term/w32-win.el: Move all code from 32-common-fns.el here. === modified file 'lisp/progmodes/verilog-mode.el' --- lisp/progmodes/verilog-mode.el 2014-09-29 18:14:08 +0000 +++ lisp/progmodes/verilog-mode.el 2014-10-07 03:19:10 +0000 @@ -123,7 +123,7 @@ ;;; Code: ;; This variable will always hold the version number of the mode -(defconst verilog-mode-version "2014-05-31-3cd8144-vpo" +(defconst verilog-mode-version "2014-10-03-c075a49-vpo" "Version of this Verilog mode.") (defconst verilog-mode-release-emacs t "If non-nil, this version of Verilog mode was released with Emacs itself.") @@ -1474,6 +1474,8 @@ :help "Help on AUTOINPUT - adding inputs from cells"] ["AUTOINSERTLISP" (describe-function 'verilog-auto-insert-lisp) :help "Help on AUTOINSERTLISP - insert text from a lisp function"] + ["AUTOINSERTLAST" (describe-function 'verilog-auto-insert-last) + :help "Help on AUTOINSERTLISPLAST - insert text from a lisp function"] ["AUTOINST" (describe-function 'verilog-auto-inst) :help "Help on AUTOINST - adding pins for cells"] ["AUTOINST (.*)" (describe-function 'verilog-auto-star) @@ -2265,7 +2267,10 @@ ;; "\\(assert\\|assume\\|cover\\)\\s-+property\\>" (defconst verilog-no-indent-begin-re - "\\<\\(if\\|else\\|while\\|for\\|repeat\\|always\\|always_comb\\|always_ff\\|always_latch\\)\\>") + (eval-when-compile + (verilog-regexp-words + '( "if" "else" "while" "for" "repeat" "always" "always_comb" "always_ff" "always_latch" + "initial" "final")))) (defconst verilog-ends-re ;; Parenthesis indicate type of keyword found @@ -2719,9 +2724,9 @@ (defconst verilog-disable-fork-re "\\(disable\\|wait\\)\\s-+fork\\>") (defconst verilog-extended-case-re "\\(\\(unique0?\\s-+\\|priority\\s-+\\)?case[xz]?\\)") (defconst verilog-extended-complete-re - (concat "\\(\\(\\\\s-+\\)?virtual\\s-+\\|\\\\|\\\\)\\)" + (concat "\\(\\(\\\\s-+\\)?virtual\\s-+\\|\\\\|\\\\)\\)" "\\|\\(\\(\\\\s-+\\)*\\(\\\\|\\\\|\\\\)\\)" - "\\|\\(\\(\\\\s-+\\)?\\(\"DPI-C\"\\s-+\\)?\\(\\\\s-+\\)?\\(function\\>\\|task\\>\\)\\)" + "\\|\\(\\(\\\\s-+\\)?\\(\"DPI-C\"\\s-+\\)?\\(\\<\\(pure\\|context\\)\\>\\s-+\\)?\\([A-Za-z_][A-Za-z0-9_]*\\s-+=\\s-+\\)?\\(function\\>\\|task\\>\\)\\)" "\\|" verilog-extended-case-re )) (defconst verilog-basic-complete-re (eval-when-compile @@ -8258,7 +8263,8 @@ (setq typedefed (if typedefed (concat typedefed " " keywd) keywd))) (t (setq vec nil enum nil rvalue nil signed nil - typedefed nil multidim nil sig-paren paren + typedefed keywd ; Have a type + multidim nil sig-paren paren expect-signal 'sigs-var modport nil)))) ;; Interface with optional modport in v2k arglist? ;; Skip over parsing modport, and take the interface name as the type @@ -10650,6 +10656,7 @@ (indent-to indent-pt) (while sigs (cond ((equal verilog-auto-arg-format 'single) + (insert space) (indent-to indent-pt) (setq space "\n")) ;; verilog-auto-arg-format 'packed @@ -11816,10 +11823,18 @@ wire tempa = i; wire tempb = tempa; wire o = tempb; - endmodule" + endmodule + +You may also provide an optional regular expression, in which case only +signals matching the regular expression will be included. For example the +same expansion will result from only extracting outputs starting with ov: + + /*AUTOOUTPUTEVERY(\"^ov\")*/" (save-excursion ;;Point must be at insertion point (let* ((indent-pt (current-indentation)) + (params (verilog-read-auto-params 0 1)) + (regexp (nth 0 params)) (v2k (verilog-in-paren-quick)) (modi (verilog-modi-current)) (moddecls (verilog-modi-get-decls modi)) @@ -11827,6 +11842,11 @@ (verilog-signals-not-in (verilog-decls-get-signals moddecls) (verilog-decls-get-ports moddecls))))) + (when regexp + (setq sig-list (verilog-signals-matching-regexp + sig-list regexp))) + (setq sig-list (verilog-signals-not-matching-regexp + sig-list verilog-auto-output-ignore-regexp)) (verilog-forward-or-insert-line) (when v2k (verilog-repair-open-comma)) (when sig-list @@ -12417,9 +12437,13 @@ (defun verilog-auto-insert-lisp () "Expand AUTOINSERTLISP statements, as part of \\[verilog-auto]. -The Lisp code provided is called, and the Lisp code calls -`insert` to insert text into the current file beginning on the -line after the AUTOINSERTLISP. +The Lisp code provided is called before other AUTOS are expanded, +and the Lisp code generally will call `insert` to insert text +into the current file beginning on the line after the +AUTOINSERTLISP. + +See also AUTOINSERTLAST and `verilog-auto-insert-last' which +executes after (as opposed to before) other AUTOs. See also AUTO_LISP, which takes a Lisp expression and evaluates it during `verilog-auto-inst' but does not insert any text. @@ -12476,6 +12500,20 @@ (setq verilog-scan-cache-tick nil) ;; Clear cache; inserted unknown text (verilog-delete-empty-auto-pair)))) +(defun verilog-auto-insert-last () + "Expand AUTOINSERTLAST statements, as part of \\[verilog-auto]. +The Lisp code provided is called after all other AUTOS have been +expanded, and the Lisp code generally will call `insert` to +insert text into the current file beginning on the line after the +AUTOINSERTLAST. + +Other than when called (after AUTOs are expanded), the functionality +is otherwise identical to AUTOINSERTLISP and `verilog-auto-insert-lisp' which +executes before (as opposed to after) other AUTOs. + +See `verilog-auto-insert-lisp' for examples." + (verilog-auto-insert-lisp)) + (defun verilog-auto-sense-sigs (moddecls presense-sigs) "Return list of signals for current AUTOSENSE block." (let* ((sigss (verilog-read-always-signals)) @@ -13202,6 +13240,7 @@ `verilog-auto-inout-param' for AUTOINOUTPARAM copying params from elsewhere `verilog-auto-input' for AUTOINPUT making hierarchy inputs `verilog-auto-insert-lisp' for AUTOINSERTLISP insert code from lisp function + `verilog-auto-insert-last' for AUTOINSERTLAST insert code from lisp function `verilog-auto-inst' for AUTOINST instantiation pins `verilog-auto-star' for AUTOINST .* SystemVerilog pins `verilog-auto-inst-param' for AUTOINSTPARAM instantiation params @@ -13278,7 +13317,6 @@ (verilog-inject-arg)) ;; ;; Do user inserts first, so their code can insert AUTOs - ;; We may provide an AUTOINSERTLISPLAST if another cleanup pass is needed (verilog-auto-re-search-do "/\\*AUTOINSERTLISP(.*?)\\*/" 'verilog-auto-insert-lisp) ;; Expand instances before need the signals the instances input/output @@ -13312,11 +13350,13 @@ (verilog-auto-re-search-do "/\\*AUTOREG\\*/" 'verilog-auto-reg) (verilog-auto-re-search-do "/\\*AUTOREGINPUT\\*/" 'verilog-auto-reg-input) ;; outputevery needs AUTOOUTPUTs done first - (verilog-auto-re-search-do "/\\*AUTOOUTPUTEVERY\\*/" 'verilog-auto-output-every) + (verilog-auto-re-search-do "/\\*AUTOOUTPUTEVERY\\((.*?)\\)?\\*/" 'verilog-auto-output-every) ;; After we've created all new variables (verilog-auto-re-search-do "/\\*AUTOUNUSED\\*/" 'verilog-auto-unused) ;; Must be after all inputs outputs are generated (verilog-auto-re-search-do "/\\*AUTOARG\\*/" 'verilog-auto-arg) + ;; User inserts + (verilog-auto-re-search-do "/\\*AUTOINSERTLAST(.*?)\\*/" 'verilog-auto-insert-last) ;; Fix line numbers (comments only) (when verilog-auto-inst-template-numbers (verilog-auto-templated-rel)) @@ -13485,7 +13525,7 @@ > "`ovm_object_utils_begin(" name ")" \n > (- verilog-indent-level) " `ovm_object_utils_end" \n > _ \n - > "function new(name=\"" name "\");" \n + > "function new(string name=\"" name "\");" \n > "super.new(name);" \n > (- verilog-indent-level) "endfunction" \n > _ \n @@ -13499,7 +13539,7 @@ > "`uvm_object_utils_begin(" name ")" \n > (- verilog-indent-level) "`uvm_object_utils_end" \n > _ \n - > "function new(name=\"" name "\");" \n + > "function new(string name=\"" name "\");" \n > "super.new(name);" \n > (- verilog-indent-level) "endfunction" \n > _ \n @@ -13513,7 +13553,7 @@ > "`uvm_component_utils_begin(" name ")" \n > (- verilog-indent-level) "`uvm_component_utils_end" \n > _ \n - > "function new(name=\"\", uvm_component parent);" \n + > "function new(string name=\"\", uvm_component parent);" \n > "super.new(name, parent);" \n > (- verilog-indent-level) "endfunction" \n > _ \n ------------------------------------------------------------ revno: 118065 author: Jan Tatarik committer: Katsumi Yamaoka branch nick: trunk timestamp: Mon 2014-10-06 22:11:44 +0000 message: lisp/gnus/gnus-icalendar.el (gnus-icalendar-identities): Include message-alternative-emails diff: === modified file 'lisp/gnus/ChangeLog' --- lisp/gnus/ChangeLog 2014-10-04 23:57:35 +0000 +++ lisp/gnus/ChangeLog 2014-10-06 22:11:44 +0000 @@ -1,3 +1,8 @@ +2014-10-06 Jan Tatarik + + * gnus-icalendar.el (gnus-icalendar-identities): + Include message-alternative-emails. + 2014-10-04 Alan Schmitt (tiny change) * nnimap.el (nnimap-process-expiry-targets): Reverse the list of === modified file 'lisp/gnus/gnus-icalendar.el' --- lisp/gnus/gnus-icalendar.el 2014-04-20 22:35:24 +0000 +++ lisp/gnus/gnus-icalendar.el 2014-10-06 22:11:44 +0000 @@ -676,8 +676,9 @@ calendar events if any of your identities matches at least one RSVP participant. -Your identity is guessed automatically from the variables `user-full-name', -`user-mail-address', and `gnus-ignored-from-addresses'. +Your identity is guessed automatically from the variables +`user-full-name', `user-mail-address', +`gnus-ignored-from-addresses' and `message-alternative-emails'. If you need even more aliases you can define them here. It really only makes sense to define names or email addresses." @@ -703,6 +704,7 @@ (list user-full-name (regexp-quote user-mail-address) ; NOTE: these can be lists gnus-ignored-from-addresses ; already regexp-quoted + message-alternative-emails ; (mapcar #'regexp-quote gnus-icalendar-additional-identities))))) ;; TODO: make the template customizable ------------------------------------------------------------ revno: 118064 committer: Ulf Jasper branch nick: trunk timestamp: Mon 2014-10-06 20:26:24 +0200 message: Fix "make docs" failure * doc/misc/newsticker.texi (Supported Formats): Fix order of subheading and itemize. diff: === modified file 'doc/misc/ChangeLog' --- doc/misc/ChangeLog 2014-10-04 07:05:21 +0000 +++ doc/misc/ChangeLog 2014-10-06 18:26:24 +0000 @@ -1,3 +1,8 @@ +2014-10-06 Ulf Jasper + + * newsticker.texi (Supported Formats): Fix order of subheading and + itemize. + 2014-10-04 Glenn Morris * vip.texi (Other Vi Commands): Markup fix. === modified file 'doc/misc/newsticker.texi' --- doc/misc/newsticker.texi 2014-09-24 17:33:54 +0000 +++ doc/misc/newsticker.texi 2014-10-06 18:26:24 +0000 @@ -596,10 +596,10 @@ @item RSS 1.0 (see @uref{http://purl.org/rss/1.0/spec}) @item RSS 2.0 (see @uref{http://blogs.law.harvard.edu/tech/rss}) @end itemize -@itemize @subheading Atom formats +@itemize @item Atom 0.3 @item Atom 1.0 (see @uref{https://datatracker.ietf.org/doc/rfc4287/}) ------------------------------------------------------------ revno: 118063 fixes bug: http://debbugs.gnu.org/cgi/bugreport.cgi?bug=18629 committer: Stefan Monnier branch nick: trunk timestamp: Mon 2014-10-06 13:47:56 -0400 message: * lisp/term/w32-win.el: Move all code from 32-common-fns.el here. (gui-select-text, gui-selection-value): Use w32 handlers in the w32 console as well. * lisp/w32-common-fns.el: Remove. * lisp/loadup.el: Don't load w32-common-fns.el. * w32-fns.elc: Don't require w32-common-fns. * src/lisp.mk (lisp): Remove w32-common-fns.elc. diff: === modified file 'lisp/ChangeLog' --- lisp/ChangeLog 2014-10-06 14:12:56 +0000 +++ lisp/ChangeLog 2014-10-06 17:47:56 +0000 @@ -1,12 +1,16 @@ 2014-10-06 Stefan Monnier + * term/w32-win.el: Move all code from 32-common-fns.el here. + (gui-select-text, gui-selection-value): Use w32 handlers in the w32 + console as well (bug#18629). + * w32-common-fns.el: Remove. + * loadup.el: Don't load w32-common-fns.el. + * w32-fns.elc: Don't require w32-common-fns. + + * icomplete.el: Move Iswitchb autoload here. Much simpler. * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie. Remove redundant obsolescence thingy. - * loadup.el: Don't load obsolete/loaddefs.el. - - * icomplete.el: Move Iswitchb autoload here. Much simpler. - * Makefile.in (obsolete-autoloads): Remove. (AUTOGENEL): Remove obsolete/loaddefs.el. === modified file 'lisp/loadup.el' --- lisp/loadup.el 2014-10-06 14:12:56 +0000 +++ lisp/loadup.el 2014-10-06 17:47:56 +0000 @@ -252,7 +252,6 @@ (load "w32-vars") (load "term/w32-win") (load "disp-table") - (load "w32-common-fns") (when (eq system-type 'windows-nt) (load "w32-fns") (load "ls-lisp") === modified file 'lisp/term/w32-win.el' --- lisp/term/w32-win.el 2014-10-02 03:19:32 +0000 +++ lisp/term/w32-win.el 2014-10-06 17:47:56 +0000 @@ -376,12 +376,69 @@ (gui-method-define window-system-initialization w32 #'w32-initialize-window-system) +;;;; Selections + (declare-function w32-set-clipboard-data "w32select.c" (string &optional ignored)) -(gui-method-define gui-select-text w32 - (lambda (text) - (if gui-select-enable-clipboard - (w32-set-clipboard-data text)))) +(declare-function w32-get-clipboard-data "w32select.c") + +(defun w32--select-text (text) + (if gui-select-enable-clipboard (w32-set-clipboard-data text))) + +(defun w32--get-selection-value () + "Return the value of the current selection. +Consult the selection. Treat empty strings as if they were unset." + (if gui-select-enable-clipboard + ;; Don't die if x-get-selection signals an error. + (with-demoted-errors "w32-get-clipboard-data:%S" + (w32-get-clipboard-data)))) + +;; Arrange for the kill and yank functions to set and check the clipboard. +(gui-method-define gui-select-text w32 #'w32--select-text) +(gui-method-define gui-selection-value w32 #'w32--get-selection-value) + +(when (eq system-type 'windows-nt) + ;; Make copy&pasting in w32's console interact with the system's clipboard! + (gui-method-define gui-select-text t #'w32--select-text) + (gui-method-define gui-selection-value t #'w32--get-selection-value)) + +;;; Fix interface to (X-specific) mouse.el +(gui-method-define gui-own-selection w32 + (lambda (type value) + (put 'x-selections (or type 'PRIMARY) data))) + +(gui-method-define gui-disown-selection w32 + (lambda (type) + (put 'x-selections (or type 'PRIMARY) nil))) + +(gui-method-define gui-get-selection w32 + (lambda (&optional type _data-type) + (get 'x-selections (or type 'PRIMARY)))) + +;; gui-selection-owner-p is used in simple.el +(gui-method-define gui-selection-owner-p w32 + (lambda (selection) + (and (memq selection '(nil PRIMARY SECONDARY)) + (get 'x-selections (or selection 'PRIMARY))))) + +;; The "Windows" keys on newer keyboards bring up the Start menu +;; whether you want it or not - make Emacs ignore these keystrokes +;; rather than beep. +(global-set-key [lwindow] 'ignore) +(global-set-key [rwindow] 'ignore) + +(declare-function x-server-version "w32fns.c" (&optional terminal)) + +(defun w32-version () + "Return the MS-Windows version numbers. +The value is a list of three integers: the major and minor version +numbers, and the build number." + (x-server-version)) + +(defun w32-using-nt () + "Return non-nil if running on a Windows NT descendant. +That includes all Windows systems except for 9X/Me." + (getenv "SystemRoot")) (provide 'w32-win) === removed file 'lisp/w32-common-fns.el' --- lisp/w32-common-fns.el 2014-10-03 01:39:49 +0000 +++ lisp/w32-common-fns.el 1970-01-01 00:00:00 +0000 @@ -1,84 +0,0 @@ -;;; w32-common-fns.el --- Lisp routines for Windows and Cygwin-w32 - -;; Copyright (C) 1994, 2001-2014 Free Software Foundation, Inc. - -;; This file is part of GNU Emacs. - -;; GNU Emacs is free software: you can redistribute it and/or modify -;; it under the terms of the GNU General Public License as published by -;; the Free Software Foundation, either version 3 of the License, or -;; (at your option) any later version. - -;; GNU Emacs is distributed in the hope that it will be useful, -;; but WITHOUT ANY WARRANTY; without even the implied warranty of -;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -;; GNU General Public License for more details. - -;; You should have received a copy of the GNU General Public License -;; along with GNU Emacs. If not, see . - -;;; Commentary: -;;; -;;; This file contains functions that are used by both native NT Emacs -;;; and Cygwin Emacs compiled to use the native Windows widget -;;; library. - -(declare-function x-server-version "w32fns.c" (&optional terminal)) - -(defun w32-version () - "Return the MS-Windows version numbers. -The value is a list of three integers: the major and minor version -numbers, and the build number." - (x-server-version)) - -(defun w32-using-nt () - "Return non-nil if running on a Windows NT descendant. -That includes all Windows systems except for 9X/Me." - (getenv "SystemRoot")) - -(declare-function w32-get-clipboard-data "w32select.c") -(declare-function w32-set-clipboard-data "w32select.c") -(declare-function x-server-version "w32fns.c" (&optional display)) - -;;; Fix interface to (X-specific) mouse.el -(gui-method-define gui-own-selection w32 - (lambda (type value) - (put 'x-selections (or type 'PRIMARY) data))) - -(gui-method-define gui-disown-selection w32 - (lambda (type &optional _time-object _frame) - (put 'x-selections (or type 'PRIMARY) nil))) - -(gui-method-define gui-get-selection w32 - (lambda (&optional type _data-type) - (get 'x-selections (or type 'PRIMARY)))) - -;; gui-selection-owner-p is used in simple.el -(gui-method-define gui-selection-owner-p w32 - (lambda (selection) - (and (memq selection '(nil PRIMARY SECONDARY)) - (get 'x-selections (or selection 'PRIMARY))))) - -;; The "Windows" keys on newer keyboards bring up the Start menu -;; whether you want it or not - make Emacs ignore these keystrokes -;; rather than beep. -(global-set-key [lwindow] 'ignore) -(global-set-key [rwindow] 'ignore) - -(defvar w32-charset-info-alist) ; w32font.c - - -;;;; Selections - -(defun w32-get-selection-value () - "Return the value of the current selection. -Consult the selection. Treat empty strings as if they were unset." - (if gui-select-enable-clipboard - ;; Don't die if x-get-selection signals an error. - (with-demoted-errors "w32-get-clipboard-data:%S" - (w32-get-clipboard-data)))) - -;; Arrange for the kill and yank functions to set and check the clipboard. -(gui-method-define gui-selection-value w32 #'w32-get-selection-value) - -(provide 'w32-common-fns) === modified file 'lisp/w32-fns.el' --- lisp/w32-fns.el 2014-02-21 03:26:21 +0000 +++ lisp/w32-fns.el 2014-10-06 17:47:56 +0000 @@ -26,7 +26,6 @@ ;;; Code: (require 'w32-vars) -(require 'w32-common-fns) (defvar explicit-shell-file-name) === modified file 'src/ChangeLog' --- src/ChangeLog 2014-10-05 23:18:31 +0000 +++ src/ChangeLog 2014-10-06 17:47:56 +0000 @@ -1,3 +1,7 @@ +2014-10-06 Stefan Monnier + + * lisp.mk (lisp): Remove w32-common-fns.elc. + 2014-10-05 Paul Eggert * keyboard.c (Qleft, Qright): Remove duplicate definitions (Bug#9927). @@ -20,10 +24,9 @@ (ns_draw_window_cursor): Adjust y for hbar cursor only if smaller than line height (Bug#17977). - * macfont.m: Fix indentation and import changes from macport - 24.3.94. + * macfont.m: Fix indentation and import changes from macport 24.3.94. (macfont_closest_traits_index): New function. - (macfont_closest_traits_index_p): Renamed from + (macfont_closest_traits_index_p): Rename from macfont_closest_traits_index. (macfont_list): Use macfont_closest_traits_index_p. @@ -40,8 +43,8 @@ 2014-10-04 Martin Rudalics - * buffer.c (scroll_bar_width, scroll_bar_height): Fix - doc-strings. + * buffer.c (scroll_bar_width, scroll_bar_height): + Fix doc-strings. * window.c (Fset_window_scroll_bars): Fix doc-string. (Fwindow_scroll_bars): Have it return what the doc-string says. @@ -144,8 +147,8 @@ values. (my_create_window): Move the calculation of the coordinates of the frame's top-left edge here. Pass them to the input thread via the - second parameter of the WM_EMACS_CREATEWINDOW message. See - http://lists.gnu.org/archive/html/emacs-devel/2014-09/msg00892.html + second parameter of the WM_EMACS_CREATEWINDOW message. + See http://lists.gnu.org/archive/html/emacs-devel/2014-09/msg00892.html for the details. 2014-09-30 Eli Zaretskii @@ -156,8 +159,8 @@ obey it if the glyph row showing point will be fully visible. Likewise when the window start is in a continuation line. If, after trying everything under the 'force_start' label, point is - still not fully visible, give up and scroll the window. Add - debugging traces. (Bug#18545) + still not fully visible, give up and scroll the window. + Add debugging traces. (Bug#18545) * window.c (Frecenter): Set the window's redisplay flag. === modified file 'src/lisp.mk' --- src/lisp.mk 2014-09-27 03:57:41 +0000 +++ src/lisp.mk 2014-10-06 17:47:56 +0000 @@ -153,7 +153,6 @@ $(lispsource)/term/w32-win.elc \ $(lispsource)/ls-lisp.elc \ $(lispsource)/disp-table.elc \ - $(lispsource)/w32-common-fns.elc \ $(lispsource)/dos-w32.elc \ $(lispsource)/w32-fns.elc \ $(lispsource)/dos-fns.elc \ ------------------------------------------------------------ revno: 118062 committer: Stefan Monnier branch nick: trunk timestamp: Mon 2014-10-06 10:12:56 -0400 message: * lisp/icomplete.el: Move Iswitchb autoload here. Much simpler. * lisp/Makefile.in (obsolete-autoloads): Remove. (AUTOGENEL): Remove obsolete/loaddefs.el. * lisp/loadup.el: Don't load obsolete/loaddefs.el. * lisp/obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie. Remove redundant obsolescence thingy. diff: === modified file 'lisp/ChangeLog' --- lisp/ChangeLog 2014-10-06 07:00:33 +0000 +++ lisp/ChangeLog 2014-10-06 14:12:56 +0000 @@ -1,3 +1,15 @@ +2014-10-06 Stefan Monnier + + * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie. + Remove redundant obsolescence thingy. + + * loadup.el: Don't load obsolete/loaddefs.el. + + * icomplete.el: Move Iswitchb autoload here. Much simpler. + + * Makefile.in (obsolete-autoloads): Remove. + (AUTOGENEL): Remove obsolete/loaddefs.el. + 2014-10-06 Glenn Morris * Makefile.in (obsolete-autoloads): Write to a separate file, === modified file 'lisp/Makefile.in' --- lisp/Makefile.in 2014-10-06 07:00:33 +0000 +++ lisp/Makefile.in 2014-10-06 14:12:56 +0000 @@ -68,7 +68,6 @@ cedet/semantic/loaddefs.el \ cedet/ede/loaddefs.el \ cedet/srecode/loaddefs.el \ - obsolete/loaddefs.el \ org/org-loaddefs.el # Value of max-lisp-eval-depth when compiling initially. @@ -186,17 +185,6 @@ --eval '(setq autoload-builtin-package-versions t)' \ --eval '(setq generated-autoload-file (expand-file-name (unmsys--file-name "$(srcdir)/loaddefs.el")))' \ -f batch-update-autoloads $$wins - $(MAKE) obsolete-autoloads - -# The obsolete/ subdirectory is normally not scanned for autoloads. -# Sometimes we still want to autoload something from that directory, -# eg iswitchb. -.PHONY: obsolete-autoloads -obsolete-autoloads: ${lisp}/obsolete/*.el - $(emacs) -l autoload \ - --eval '(setq generate-autoload-cookie ";;;###obsolete-autoload")' \ - --eval '(setq generated-autoload-file (expand-file-name (unmsys--file-name "$(srcdir)/obsolete/loaddefs.el")))' \ - -f batch-update-autoloads ${lisp}/obsolete # This is required by the bootstrap-emacs target in ../src/Makefile, so # we know that if we have an emacs executable, we also have a subdirs.el. === modified file 'lisp/icomplete.el' --- lisp/icomplete.el 2014-03-03 03:40:48 +0000 +++ lisp/icomplete.el 2014-10-06 14:12:56 +0000 @@ -487,6 +487,19 @@ "}") (concat determ " [Matched]")))))) +;;; Iswitchb compatibility + +;; We moved Iswitchb to `obsolete' in 24.4, but autoloads in files in +;; `obsolete' aren't obeyed (since that would encourage people to keep using +;; those packages, oblivious to their obsolescence). Given the fact that +;; Iswitchb was very popular, we decided to keep its autoload for a bit longer, +;; so we moved it here. + +;;;###autoload(when (locate-library "obsolete/iswitchb") +;;;###autoload (autoload 'iswitchb-mode "iswitchb" "Toggle Iswitchb mode." t) +;;;###autoload (make-obsolete 'iswitchb-mode +;;;###autoload "use `icomplete-mode' or `ido-mode' instead." "24.4")) + ;;;_* Provide (provide 'icomplete) === modified file 'lisp/loadup.el' --- lisp/loadup.el 2014-10-06 07:00:33 +0000 +++ lisp/loadup.el 2014-10-06 14:12:56 +0000 @@ -144,8 +144,6 @@ ;; In case loaddefs hasn't been generated yet. (file-error (load "ldefs-boot.el"))) -(load "obsolete/loaddefs.el" t) - (load "emacs-lisp/nadvice") (load "minibuffer") (load "abbrev") ;lisp-mode.el and simple.el use define-abbrev-table. === modified file 'lisp/obsolete/iswitchb.el' --- lisp/obsolete/iswitchb.el 2014-03-27 19:00:49 +0000 +++ lisp/obsolete/iswitchb.el 2014-10-06 14:12:56 +0000 @@ -1416,7 +1416,7 @@ (isearch-no-upper-case-p iswitchb-text) (isearch-no-upper-case-p iswitchb-text t)))) -;;;###obsolete-autoload +;;;###autoload (define-minor-mode iswitchb-mode "Toggle Iswitchb mode. With a prefix argument ARG, enable Iswitchb mode if ARG is @@ -1430,10 +1430,6 @@ (add-hook 'minibuffer-setup-hook 'iswitchb-minibuffer-setup) (remove-hook 'minibuffer-setup-hook 'iswitchb-minibuffer-setup))) -;;;###obsolete-autoload -(make-obsolete 'iswitchb-mode - "use `icomplete-mode' or `ido-mode' instead." "24.4") - (provide 'iswitchb) ;;; iswitchb.el ends here ------------------------------------------------------------ revno: 118061 fixes bug: http://debbugs.gnu.org/17407 committer: Glenn Morris branch nick: trunk timestamp: Mon 2014-10-06 00:00:33 -0700 message: * lisp/Makefile.in (obsolete-autoloads): Write to a separate file, to workaround autoloads bug. (AUTOGENEL): Add obsolete/loaddefs.el. * lisp/loadup.el: Load obsolete/loaddefs.el if present. * lisp/subr.el (do-after-load-evaluation): Don't warn about obsolete/loaddefs.el. diff: === modified file 'lisp/ChangeLog' --- lisp/ChangeLog 2014-10-06 06:30:07 +0000 +++ lisp/ChangeLog 2014-10-06 07:00:33 +0000 @@ -1,5 +1,12 @@ 2014-10-06 Glenn Morris + * Makefile.in (obsolete-autoloads): Write to a separate file, + to workaround autoloads bug. (Bug#17407) + (AUTOGENEL): Add obsolete/loaddefs.el. + * loadup.el: Load obsolete/loaddefs.el if present. + * subr.el (do-after-load-evaluation): + Don't warn about obsolete/loaddefs.el. + * menu-bar.el (menu-bar-games-menu): Remove landmark. It has zero relationship to a game. === modified file 'lisp/Makefile.in' --- lisp/Makefile.in 2014-09-10 16:32:36 +0000 +++ lisp/Makefile.in 2014-10-06 07:00:33 +0000 @@ -68,6 +68,7 @@ cedet/semantic/loaddefs.el \ cedet/ede/loaddefs.el \ cedet/srecode/loaddefs.el \ + obsolete/loaddefs.el \ org/org-loaddefs.el # Value of max-lisp-eval-depth when compiling initially. @@ -194,7 +195,7 @@ obsolete-autoloads: ${lisp}/obsolete/*.el $(emacs) -l autoload \ --eval '(setq generate-autoload-cookie ";;;###obsolete-autoload")' \ - --eval '(setq generated-autoload-file (expand-file-name (unmsys--file-name "$(srcdir)/loaddefs.el")))' \ + --eval '(setq generated-autoload-file (expand-file-name (unmsys--file-name "$(srcdir)/obsolete/loaddefs.el")))' \ -f batch-update-autoloads ${lisp}/obsolete # This is required by the bootstrap-emacs target in ../src/Makefile, so === modified file 'lisp/loadup.el' --- lisp/loadup.el 2014-10-01 22:13:11 +0000 +++ lisp/loadup.el 2014-10-06 07:00:33 +0000 @@ -144,6 +144,8 @@ ;; In case loaddefs hasn't been generated yet. (file-error (load "ldefs-boot.el"))) +(load "obsolete/loaddefs.el" t) + (load "emacs-lisp/nadvice") (load "minibuffer") (load "abbrev") ;lisp-mode.el and simple.el use define-abbrev-table. === modified file 'lisp/subr.el' --- lisp/subr.el 2014-10-01 22:13:11 +0000 +++ lisp/subr.el 2014-10-06 07:00:33 +0000 @@ -3879,7 +3879,9 @@ ;; discard the file name regexp (mapc #'funcall (cdr a-l-element)))) ;; Complain when the user uses obsolete files. - (when (string-match-p "/obsolete/[^/]*\\'" abs-file) + (when (save-match-data + (and (string-match "/obsolete/\\([^/]*\\)\\'" abs-file) + (not (equal "loaddefs.el" (match-string 1 abs-file))))) ;; Maybe we should just use display-warning? This seems yucky... (let* ((file (file-name-nondirectory abs-file)) (msg (format "Package %s is obsolete!"